Course HARDWARE: PC and computer equipments YBET

Hardware Training    

5.A. Dedicated microprocessor for servers

Introduction - A.1. Pentium Pro et XEON - A.2 Microprocessor Xeon - A.3. Processors 64 bits - A.4. Itanium - A.5. Athlon 64 bits - Opteron from AMD

Even if a standard microprocessor PC can be used in a network server (and screw poured), INTEL and AMD developed specific microprocessors for the networks servers. This chapter shows these processors "specific servers". This part can be seen in the hardware 1 course with processors for standard computer or the hardware 2 training (servers specifications ).

A.1. Pentium Pro, Xeon

Pentium Pro is first INTEL microprocessor of 6th generation, it is identical to Pentium II - Pentium III and celeron, but just of the characteristics which intends it for applications server network. Only internal and external speeds, size and speed of the L2 mask and slot (or socket) vary.

Pentium Pro (and his successor Xeon) are variations of Pentium II and following. Like only difference (but of size), the masks (in the face and of speed) are higher than those of the standard Pentium II and following processors. This strongly improves the performances.

Pentium Pro authorizes the use until 8 processors simultaneously in a single computer server (for 2 max. for Pentium II). Its exit was done practically at the same time as Pentium MMX.

A.2 microprocessor XEON

A.2.1. Presentation

The successor of Pentium Pro, the XEON, left in March 99, is available in 2 versions, with mask 512k for the 1 and workstations MB for the servers networks. The L2 mask is given rhythm at the same speed as the processor (one died). These processors are almost untraceable on the market "assembler". A multi-media version left in September 1999 (called Xeon II).

Pentium Xeon use a connector named SLOT 2 to fit on the motherboards at the beginning, it since is replaced the socket 603 . Indeed, the internal architecture of the XEON is not very different from Pentium the top-of-the-range one. To follow the evolution of Pentium IV (NetBurst architecture), INTEL left XEON MP. End of 2002, they were given rhythm to 1,4 - 1,5 and 1,6 Gh. They also use a L3 mask integrated varying from 0.5 to 1 MB.

Current speed (April 2003) is 3,06 Ghz with a socket 604 . The precedents used a socket 603.

The performances of these processors are especially related to the number of cumulated processors. Current architectures limit them to 8 microprocessors in bunch. We will speak again of these multiprocessors architectures in second year with the servers. The operating system must be compatible (Win98, I or XP home do not manage the multiprocessor).

A.2.2. Characteristic

Microprocessor XEON uses Hyper-Threading . This function is also established in new Pentium IV, but is not activated with the production of the processor (and thus unusable) for Pentium IV lower than 3,06 Ghz. It makes it possible simultaneously to carry out several Threads with only one physical processor.

A thread (task) consists of a piece of program whose characteristic is to be carried out remainder of the program separately, unlike a function whose beginning and end are defined in a fixed way in unfolding of the program.

The interest of the thread lies in the separation of the tasks, a multi-task environment is thus able to distribute the current threads on the resources present. This system takes all its direction on a machine with several processors: OS can thus assign one or more threads to each processor, thus paralleling their execution.

When there is one processor, this one milked alternatively all threads in progress, passing continuously from the one with the other, and thus giving the illusion of a simultaneous execution. In order to allow this continual alternation between the threads, each one of them has a context, or state, which contains inter alia the state of the registers of the processor. Each time that a thread becomes active, its state is restored, making it possible the processor to take again the execution of the thread where it had left it. Lastly, when another thread will take the hand, this context is safeguarded.

Hyper-Threading consists in allowing the simultaneous execution of two threads on only one processor. For that, Pentium 4 can manage two simultaneous contexts. These two contexts contain each one then a representation different from the same registers. The operating system there sees two processors then, and behaves as if it were thus. One then does not speak any more a system SMP (Symmetric Multi Processing) but of SMT (Simultaneous Multi Threading) and of logical processor (Prestonia has two logical processors).

One can then wonder what that is used for to allow the simultaneous execution of two threads if there is physically one processor. In fact the idea is simple: mixer thus two flows of instructions arriving at the processor, makes it possible to support the simultaneous use of all the resources of the processor such as the units of execution, and makes it possible to reduce certain causes of bad filling of the pipeline.

According to INTEL, a traditional code on average uses only 35% of the resources of Pentium 4, and the use of Hyper-Threading would allow a profit going up to 30%. To check that, you will need the version Prestonia (Xeon) of Pentium 4. It is officially the only version to support Hyper-Threading.

A.2.3. Evolution 64 bits

At the beginning of 2004, INTEL announces the nearest exit of a new architecture XEON called NOCOMA . This processor will use a hybrid architecture 32 - 64 bits (as well as Opteron of AMD). It behaves as a processor 32 bits when it turns with a operating system 32 bits and processor 64 bits when it makes turn of the applications 32 bits or 64 bits (independently from/to each other) when it turns with an operating system 64 bits.

A.3. Processors 64 bits.

In the chapter "possible improvement of the systems with microprocessors", one of the solution put forward was the increase in the number of lines of the data bus. The 286 was 16 bit, the 386 DX and following remained 32 bits. This can seem anecdotic but... This means that Pentium IV can use an operating system DOS coupled with Windows 3.1 (for example) but that one 8088 (16 bits interns) will be able to never make function Windows XP, even by overclocking it (upward compatibility)

In a microprocessor 32 bits, the instructions out of assembler are coded on 32 bits. The processors 64 bits use instructions 64 bits, incompatible with the programs 32 bits. A processor 64 bits cannot thus read instructions 32 bits and screw poured. INTEL with its processor 64 bits ITANIUM left in July 2001 circumvented the problem by not taking the old instructions 32 bits. This required to rewrite the programs and operating systems or rather recompile, i.e. to reconvert the program assembler 32 bits in 64 bits. Windows 64 bits exists for these processors, but few programs are really on the market.

INTEL and AMD work each one on processors 64 bits. Philosophy is nevertheless different. INTEL designed a true microprocessor 64 bits, the ITANIUM. This reduces the use of Itanium to that of server network or station of very high range. These machines must compete with the systems UNIX de Sun in particular and not Athlon.

On the other hand, AMD uses a hybrid formula able to work with systems 32 current bits (and programs 32 bits) or like microprocessor 64 bits with an operating system 64 bits (by exploiting simultaneously or not applications 32 and 64 bits). The advantage remains the use of standard operating systems. AMD develops in parallel 2 types of processors 64 bits, Athlon 64 bits and Opteron (specific server network).

At the beginning of 2004, INTEL decides to compete with Opteron as processor servers of medium of range with a modification of the architecture XEON called NOCOMA which uses a principle identical to that of Opteron (32 - 64 bits).

A.4. Itanium and Itanium II

The first processor 64 bits left in 2001. Almost unknown of general public, its characteristics make of it a "animal speed" compared to the current office automation processors. Mid 2002, the possible frequencies were only 733 and 800 MHz. Architecture EPIC used (Explicity Parallel Computing Instruction) is specifically dedicated to him.

The treatment of the instructions and external transfers are done in 64 bits on simple memory PC100. The frequency of the bus of exit east it of 266 MHz

Coupled with the specific chipset ( the 460 GX ), Itanium can be configured with a bench going to 512 processors. Itanium uses, like the XEON, three masks:

L1 of 32 K (16 K + 16 K)

L2 of 96 K

L3 of 2 or 4 MB

Itanium II leaves at the end of 2002. Its characteristics are not very different from Itanium I. Speeds vari ent of 900 MHz to 1 Ghz. The L3 mask is tiny room to 1.5 or 3 MB, but at the speed of the processor (One Died).

A.5. Opteron

Formerly known under the code name "HAMMER", AMD developed two versions of its 64-bit processor: the Opteron and Athlon 64-bit. The Opteron is the server version - computer station of high range, in the same way as the Itanium and its successor the Itanium II. The Opteron came out in early 2003, the Athlon 64 bits for stations in September 2003, almost at the same time as the Athlon 64 FX. These processors accept the standard 32-bit instruction but also the 64-bit applications depending on the operating system.

The Opteron is dedicated server PC and is available in 3 versions: 100, 200 and 800 (respectively 0, 1, and 3 bus processors that can be used for computers using 1,2 and 8 opteron). Each version is available in different speeds.

Directly integrated into the processor, memory controller accelerates applications by reducing the delays between the memory and the processor (latent).

Simultaneous execution of applications 32 and 64 bit, more than barrier 4 GB maximum memory and 32-bit.

Maximum bandwidth of 22.4 GB (version 2.0 at 1.6 Ghz maximum) and socket AM2 or 41.6 MB/s (maximum speed of 2.6 Ghz) with socket AM2 +. HyperTransport (compatible with before). Diagram: Architecture Direct Connect of Opteron, Athlon 64 FX, Athlon 64 and Sempron 64.

The different versions of the AMD 64 processors differ by the number of memory channels. Only the Opteron allows the multi-processor, but only in versions Opteron 2XX (2 processors) and Opteron 8XX (theoretically unlimited) allow the multi-processor. With its 2 channels processors 8XX series allows an unlimited number of processors on the same card. In practice, the limitation is 4. Some manufacturers of motherboards for servers allow via the bus switching to 8 concurrent processors.

  Number of RAM channels (DDR for socket 939 - 940 or DDR2 for AM2 et AM2+ sockets)
Number of channels Hyper-transport
Number of bus between processors

Number of connections to the northbridge

Athlon 64 / Sempron 64 1
1
-
1
Athlon 64 FX 2
1
-
1
Opteron 1xx 2
3
-
1
Opteron 2xx 2
3
1
1
Opteron 8xx 2
3
2
1

The limited number of multiprocessor opteron is explained by the method of binding between the processors, it is circular as in the diagram below.

Introduction of the Opteron series processors 8XX.

The main changes are at the level of the registers from the K7 architecture: increase the number and the size to accept the 64-bit mode.

Second modification, the 64-bit AMD processors directly manage the Ram and the northbridge of chipset. This includes a change of socket when changing the type of memory. The first Opteron used a socket 940 to manage two banks of memory RAM of 32 bits into DDR333 registered. Other versions use the 939 socket (also used by the Athlon FX 66) for DDR, the latest AM2 (DDR2 memory) and AM3 (DDR3)... Third amendment, increased L2 cache memory (the Opteron does not cache L3 unlike Itanium) which changes from 512 KB to 1 MB.

Depending on the versions, the Opteron uses one or three bus Hypertransports. The latter allowing the multiprocessor. The first version of the hypertransport allows speeds of 22.4 GB/s bidirectional mode transfers, or 44.8 GB/s maximum and manages not only transfers between processors, but also the connection to the northbridge and thus PCI - X, PCI-E or AGP bus. Socket AM2 will allow to use the hypertransport version 2.0 and the AM2 + version 3 (remaining compatible with version 2.0 if necessary.

The evolution of the Opteron and Athlon 64 also passes by the Dual-core, 4-core and 6-core. The size of the transistors is 0, 09µ.

As in Athlon 64 bits, the controller report is directly established in the microprocessor. This characteristic enables him to better manage the times of delay generated by the Northbridge transfers - microprocessor. Opteron is identical to Athlon 64 FX and thus manages 2 channels reports simultaneously. Nevertheless, they are validated only in DDR 333 Registred (Athlon FX authorizes DDR 400 Registered in socket 940, standard into 939).

For the characteristics Athlon 64, FX and Opteron

In relation:

Next Chapter of hardware formation > 6. Internal bus for PC

The hardware 1 course: Computer and peripherals equipments. The Hardware 2 course: network, server and communication